Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
vhdl/adder.vcd
$date
  Tue Aug 16 16:02:30 2022
$end
$version
  GHDL v0
$end
$timescale
  1 fs
$end
$scope module standard $end
$upscope $end
$scope module common $end
$upscope $end
$scope module adder_tb $end
$var reg 1 ! i0 $end
$var reg 1 " i1 $end
$var reg 1 # ci $end
$var reg 1 $ s $end
$var reg 1 % co $end
$scope module adder_0 $end
$var reg 1 & i0 $end
$var reg 1 ' i1 $end
$var reg 1 ( ci $end
$var reg 1 ) s $end
$var reg 1 * co $end
$upscope $end
$upscope $end
$enddefinitions $end
#0
0!
0"
0#
0$
0%
0&
0'
0(
0)
0*
#1000000
1#
1$
1(
1)
#2000000
1"
0#
1'
0(
#3000000
1#
0$
1%
1(
0)
1*
#4000000
1!
0"
0#
1$
0%
1&
0'
0(
1)
0*
#5000000
1#
0$
1%
1(
0)
1*
#6000000
1"
0#
1'
0(
#7000000
1#
1$
1(
1)
#8000000