Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
verilog/two_modules_tb.v
`include "two_modules.v"
module two_modules_tb();
    reg clock;
    wire out;

    initial begin
        $dumpfile("two_modules_tb.vcd");
        $dumpvars;
        clock = 0;
        #10 $finish;
    end

    always begin
        #1 clock = ~clock;
    end

    two_modules top (
        clock,
        out
    );
endmodule