Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
verilog/ram_dual_tb.v
`include "ram_dual.v"

module ram_dual_tb;
    localparam ADDRESS_BITS = 1;
    localparam DATA_BITS = 1;

    reg clock, reset, write;
    reg [ADDRESS_BITS-1:0] address_in, address_out;
    reg [DATA_BITS-1:0] data_in;
    wire [DATA_BITS-1:0] data_out;
    ram_dual #(
        .ADDRESS_BITS(ADDRESS_BITS),
        .DATA_BITS(DATA_BITS)
    ) top (
        clock,
        reset,
        write,
        address_in,
        address_out,
        data_in,
        data_out
    );

    initial begin
        $dumpfile("ram_dual_tb.vcd");
        $dumpvars;

        /* Start. */
        address_in = 0;
        address_out = 0;
        data_in = 0;
        clock = 0;
        reset = 0;
        write = 0;

        /* Reset. */
        #2 reset = 1;
        #2 reset = 0;

        #2
        write = 1;
        address_out = 1;
        address_in = 0;
        data_in = 1;
        #2
        write = 0;
        address_out = 0;
        address_in = 1;
        data_in = 1;

        #2 $finish;
    end

    always begin
        #1 clock = ~clock;
    end
endmodule