Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
verilog/monitor_tb.v
`include "negator.v"
module monitor_tb();
    reg clock;
    wire out;

    initial begin
        $monitor("%g %b %b", $time, clock, out);
        clock = 0;
        #3 $finish;
    end

    always begin
        #1 clock = ~clock;
    end

    negator top (
        clock,
        out
    );
endmodule