Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
verilog/interactive/and2.v
module and2(
    input wire in1,
    input wire in2,
    output wire out
);
    assign out = in1 & in2;
endmodule