Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
verilog/counter_tb.v
`include "counter.v"
module counter_tb;
    localparam BITS = 2;

    reg clock, reset, enable;
    wire [BITS-1:0] out;
    counter #(
        .BITS(BITS)
    ) top (
        clock,
        reset,
        enable,
        out
    );

    always begin
        #1 clock = ~clock;
    end

    initial begin
        $dumpfile("counter_tb.vcd");
        $dumpvars;
        clock = 0;
        reset = 0;
        enable = 0;
        #2 reset = 1;
        #2 reset = 0;
        #2 enable = 1;
        #(4<<BITS) $finish;
    end
endmodule