Ciro Santilli OurBigBook.com  Sponsor 中国独裁统治 China Dictatorship 新疆改造中心、六四事件、法轮功、郝海东、709大抓捕、2015巴拿马文件 邓家贵、低端人口、西藏骚乱
cmake/hello_c/main.c
#include <stdio.h>
#include <stdlib.h>

int main(void) {
    puts("hello world");
    return EXIT_SUCCESS;
}